汽车出租价格表设计(请问南昌的出租车起价几公里,多少钱超出起步价后是多少钱)

本文目录

  • 请问南昌的出租车起价几公里,多少钱超出起步价后是多少钱
  • 出租车计价器
  • 六年级师生共123人租车去杭州湾跨海大桥参观可供选择车型和价格见右表请设计一个最省钱租车方案算出需要钱
  • 租车贵吗我看到人家结婚租婚车,奔驰,宝马什么的、那些多少钱一天
  • 重庆出租车收费标准
  • EDA课程设计,用VHDL编程做出租车计费器
  • 婚礼上使用的奥迪婚车怎么样,奥迪婚车租赁价格是多少
  • 出租车计价器程序设计与原理图

请问南昌的出租车起价几公里,多少钱超出起步价后是多少钱

出租车价格在南昌:起步价(2公里以内)在6元,8公里以内1.9/公里,(6公里*1.9)。8公里以外2.85/公里(km *2.85),2-8公里之间1.9元,每公里加收2.85元,晚上11: 00至次日凌晨5: 00起步价6.6,2-8公里之间2.3元,8公里以上每公里加收3.45元,8公里以上加收50%的回程费。
一、出租车费用构成
出租车的租赁价格由两部分组成:一是固定费用,是一定里程(基价公里)内的固定金额(基价,俗称起步价),与长度无关;基价公里以上的里程是按照公里单价定价的,这是一个可变成本,也就是说,这个成本会随着你乘坐的距离和时间而发生相应的变化。以出租车起步价为8元,含3公里,3公里以上行驶距离按单程每公里2.4元计算为例。提供起步价对乘客和司机都有利。它可以激励驾驶员在最短的时间和距离内到达目的地,从而避免驾驶员故意绕行的违法行为。司机每天搭载的乘客越多,差异就会越大,可以避免因绕行里程过多而增加运营成本。
二、影响出租车价格主要因素有
出租车租赁价格的主要影响因素包括运输成本(燃料成本、维修成本、车辆折旧成本和人工成本)、供需关系、城市社会经济发展水平、政策影响及其患者外部成本。租车价格实际上是由出租车的管理部门、出租车服务的提供者(主要是出租车公司和司机)和需求者(乘客)三个方面决定的。这些利益相关者为了自己的利益试图影响价格,最终的价格是由他们各自行动的结果决定的。
最后一个城市出租车车的定价要真正考虑到城市的经济发展和城市里的人的消费能力,这样才能够真正带动整个城市的发展。

出租车计价器

一、题目:A题 设计并制作一个用于出租车的计费系统。
二、设计要求
1、基本要求:
(1)制作一个模拟车轮用直流电动机(或步进电机)驱动,现场模拟以下运行情况的收费情况:
u 假设车轮的周长为一米。
u 起步价为三公里五元,白天每公里三元,晚上每公里四元。
u 运行五公里之后,停三分钟,再运行五公里之后乘客下车,由于演示的时间的需要,途中停车超过一分钟开始收费,超过的时间每分钟一元。
(2)能够手动修改单价。
(3)具有数据的复位功能。
(5)数据输出
u 单价输出 至少2位
u 路程输出 至少2位
u 总金额输出 至少3位
2、发挥部分:
(1)能够在掉电的情况下存储单价等数据。
(2)能够显示、调节当前的系统时间,并根据当前的系统时间进行计费(6时至18时为白天,18时至第二天6时为晚上)。
(3)有语音报价功能。
1.2 方案比较与论证
1.2.1控制器模块
方案一:采用可编程逻辑器件CPLD 作为控制器。CPLD可以实现各种复杂的逻辑功能、规模大、密度高、体积小、稳定性高、IO资源丰富、易于进行功能扩展。采用并行的输入输出方式,提高了系统的处理速度,适合作为大规模控制系统的控制核心。但本系统不需要复杂的逻辑功能,对数据的处理速度的要求也不是非常高。且从使用及经济的角度考虑我们放弃了此方案。
方案二:采用凌阳公司的16位单片机,它是16位控制器,具有体积小、驱动能力高、集成度高、易扩展、可靠性高、功耗低、结构简单、中断处理能力强等特点。处理速度高,尤其适用于语音处理和识别等领域,采用此单片机能够很方便的实现发挥部分的语音报价功能,但此单片机较难买到,而且价格稍贵,故放弃了此方案。
方案三:采用Atmel公司的AT89S52单片机作为主控制器,此单片机是51内核的CMOS 8位单片机,片内含8k空间的可反复擦些1000次的Flash读写存储器,具有256 bytes的随机存取数据存储器(RAM),32个IO口,你知道厂房装修合同样板。2个16位可编程定时计数器。其价格低廉,非常适合用于小系统的开发,开采用ISP在线编程,程序的下载和修改也很方便。题目所要求的系统对控制器的要求不高,我们综合多方面考虑选用了此方案。
1.2.2车轮转速探测模块
方案一:用光敏电阻组成光敏探测器。光敏电阻的阻值可以跟随周围环境光线的变化而变化。当光线照射到车轮码盘的白色部分时,光线发射强烈,光线照射到车轮码盘的黑色部分时,光线发射较弱。因此光敏电阻在白色部分和黑色部分上方时,阻值会发生明显的变化。将阻值的变化值经过比较器就可以输出高低电平。此方案易受外界光线的干扰。
方案二:采用霍尔传感器最为探测器件,霍尔传感器的两部分分别安装在车轮和固定电路板上,根据车轮转动时将变化的磁场强度转换为脉冲电信号,此方案能够使对车轮计数精确,工作稳定,但成本较高。
方案三:采用红外对管,当红外发射管发射出的红外线照到码盘的白色部分和黑色部分时,其反射的红外线强度不同,通过红外接收管接收后经电压比较器LM311比较后即可输出高低电平。此方案成本低,容易实现,且灵敏度较高。
根据本系统的设计要求,对车轮转速的探测受外界的干扰较小,而采用采用红外对管工作电路简单,调试方便,所以我们选择此方案。
1.2.3时钟控制模块
方案一:采用32.768MHz的晶振产生震荡后经多个74LS161进行15次2分频,产生精确的秒信号,自行搭建时间电路。此方案具有较好的原创性,但电路搭建起来较为复杂,工作不够稳定,也不方便调节。
方案二:直接采用单片机内部的时钟信号。这样能够大大简化硬件的设计,但断电后不能工作,而且大量占用单片机有限的内存资源。
方案三:采用DS专用时钟芯片。DS是功能强大的实时时钟芯片,内置锂电池,内置晶振。提供二进制数和BCD码两种数据表示方式。可切换24小时制和12小时制时间表示。具有闹钟功能。可编程方波输出。提供114字节非易失存储空间,用于断电保存数据。
由于本系统的很多功能都是基于时间的基础上实现的,因此对时钟的要求较高,故我们选择方案三。
1.2.4显示模块
方案一:用数码管进行显示。数码管由于显示速度快,使用简单,显示效果简洁明了而得到了广泛应用,但显示的内容仅仅局限于数字,人机界面不够友好因此我们放弃了此方案。
方案二:用LCD液晶1602进行显示。LCD由于其显示清晰,显示内容丰富、清晰,显示信息量大,使用方便,显示快速而得到了广泛的应用。由于本此系统需要显示的内容较多,为达到较好的人机交互界面,我们选择了此方案。
1.3最终选择方案
u 采用AT89S52单片机作为主控制器。
u 采用红外对管+LM311作为车轮转速探测模块。
u 采用DS作为实时时钟控制芯片。
u 采用1602LCD液晶作为显示模块。
u 采用普通的直流电机和自制的车轮及码盘作为模拟车轮。
1.4系统结构图
按键:
红外感应模块
MCU
AT89S52
DS系统时间,断电保存数据
LCD液晶显示
模拟车轮
图1 系统结构框架
第二章:各部分电路原理与设计
2.1单片机主控模块的设计
Atmel公司的AT89S52是51内核的单片机。不用烧写器而只用串口或者并口就可以往单片机中下载程序。
我们所设计的单片机最小系统板采用的双龙公司的并口下载标准,且在板上留有下载线插座,这样可以非常方便的实现通过PC机的并口往单片机中下载程序。同时将稳压电源部分也附在其上,经过7805稳压后的+5V电源为除电机外的其他系统模块提供工作电源。
单片机控制模块的示意图如图9所示:
图2 单片机主控模块
单片机的I/O口分配如下:
P0,P2.5~P2.7 LCD模块
P1,P2.0~P2.3,P3.3 实时时钟模块
P3.0~P3.1,P3.5~P3.7 按键开关
P3.2 红外探测模块
2.2红外探测模块的设计
我们通过将红外接收二极管的不同压降与基准电压送入电压比较器LM311进行比较,输出对应的高低电平信号,送到单片机进行处理。其电路原理图如下:
图3 红外探测模块
在图3中,可调电阻RW可以调节比较器的门限电压,经示波器观察,输出波形相当规则,可以直接够单片机查询使用。
由于我们的码盘采用二分法进行设计,黑白两种颜色各占原周的一半,因此单片机每接收到一个高电平信号则模拟车轮转了一圈,通过计算每秒钟单片机接收到的高电平信号个数即可方便的计算出车轮的速度和所走的里程。
2.3模拟车轮的设计
小车的速度和里程的测量是通过光电对管检测码盘实现的。我们采用普通的直流电机和玩具车的车轮,自制码盘,做成模拟车轮,供测量用。
考虑到电机运行时对电压的干扰,电机的电源直接由输入的未经过稳压的电源提供,试用期解除劳动合同。并设立独立的开关,有人工控制,这样更符合实际的工作情况。
为防止光电管产生误动作,我们将光电码盘分为2份黑白相间的圆盘,每份各占圆周的一半,如图4:
图4 模拟车轮码盘
将其紧贴在车轮的内侧。在封装盒右侧打一个方孔,红外对管通过方孔对光电码盘进行检测就可以得到车轮转过的圈数。从而计算出小车前进的路程。
本系统假设车轮的周长为1米,假设单片机每秒检测到黑白信号的变化为n,共走了k秒则小车走过的路程为S=nk米。
2.4实时时钟控制模块的设计
我们采用的DS功能强大,内置锂电池,内置晶振,可以作为实时电子钟,为整个系统提供时钟,很好地解决了题目发挥部分“能够显示、调节当前的系统时间,并根据当前的系统时间进行计费(6时至18时为白天,18时至第二天6时为晚上)”的要求。同时利用其闹钟功能对探测信号进行采样,精确的计算出车速。由于芯片还提供114字节非易失存储空间,因此我们将题目要求的各种价格的设置方便的保存在里面,达到断电保存数据的功能。
图5 时钟模块电路图
2.5人机交互界面的设计
为使系统有更良好的人机交互界面,我们将LCD液晶显示模块,操作按键,系统复位开关和车轮控制开关集中于封装盒的面板上,方便用户操作,如下图:
图6 人机交互界面
2.6系统总体电路图
图7 系统总电路图
图8 系统装箱后的内部实物图
第三章:系统程序设计
3.1系统程序所实现的功能
u 实时显示总金额,总里程,车速,已走过时间,系统时间。
u 可在线修改系统时间。
u 可修改起步价及起步距离。
u 可修改每超过一公里加收费用。
u 可修改途中停车超过时间开始收费的时间及单价。
3.2程序任务分配
表1 程序任务分配
外部中断0(来自车轮)

外部中断1(来自时钟)

主函数
更新路程,加总价,复位计时器,如果显示屏使用权在INT0,就更新显示。
显示屏使用权用screen变量表示。

计算速度,计算行车时间,计时并在停车超时后加钱。根据显示屏使用权判断是否更新显示。
根据real_time变量的值决定是否把系统时间更新到屏幕上。

读取按键。决定控制逻辑。
3.3主程序流程图
开始
初始化LCD、实时时钟
从存储器读出单价等数据
显示logo
任意键
空闲状态,显示系统时间
有键按下
空闲状态
计费状态
设置键?
N
Y
设置
等待按键
是2键?
N
Y
初始化计费屏幕,进入计费状态
是3键
Y
查看系统时间,延时4秒
N
是4键?
Y
停止计费,进入空闲状态
N
按下2键复位
3.4各数据在中存储地址
表2 各数据在中存储地址
地址

变量

功能
0x0e

d

//起步价 d 公里 p 角
0x0f

p
0x10

day_price

//白天每公里价格,单位:角
0x11

night_price

//夜晚每公里价格,单位:角
0x12

x

//中途停车超过y分钟之后按x角每分钟计价
0x13

y
3.5中断服务0程序流程图
触发中断
路程加一米
允许使用显示屏?
计时器复位
路程==起步路程?
路程》起步路程?
Y
N
Y
更新路程显示
向总金额加上公里价
N
更新总金额显示
Y
N
Y
公里计程器复位
中断2服务程序的timer_state和timer_count变量
counter_1000变量
公里计程器加1
公里计程器==1000?
结束
N
Y
向总金额加上公里价
允许使用显示屏?
更新总金额显示
Y
N
公里计程器复位
0号中断服务程序:
车轮转动一圈触发一次
3.6 中断服务2程序流程图
触发中断
系统在计费状态?
Y
N
需要同步时间?
Y
N
结束
速度=现在的路程—一秒之前的路程
行车时间加一秒
计时器加一秒
计时等于最大停车时间?
N
总金额加每分钟价
进入停车超时状态
Y
停车超时状态?
Y
每分钟加钱
N
将系统时间和日期同步到屏幕上
2号中断服务程序:
每秒钟触发一次
第四章:系统调试结果与分析
4.1调试方法与仪器
表3 测试仪器设备清单
仪器名称

型号

用途

数量
PC机

联想

调试及下载程序

1
数字万用表

UNI-T10A

测量各电路工作情况

1
秒表

记录时间

1
直流稳压电源

ALKIMIA

提供电源

1
4.2调试步骤与测试数据
4.2.1系统时间与秒表实际时间测量
开机后按“开始”键进入计时工作状态,同时启动秒表进行及时对比,分别对工作时间为1分钟,2分钟,3分钟,4分钟,5分钟进行测量比较,结果如表2
表4 系统时间测试比较
测试时间

系统显示时间

秒表测量时间
60s

60s

59.61s
120s

120s

121.02s
180s

180s

180.66s
240s

240s

238.58s
300s

300s

300.40s
4.2..2车轮转速测试
本系统的设计对探测信号的下降沿进行触发,因此当红外对管探测到的码盘从白色转为黑色时,单片机中断触发,计数器加一。
启动计费系统,同时手工转动车轮一周,显示屏上路程显示1m,在转动车轮几圈,LCD的显示数值均与实际相符。
4.2.3计费系统测试
表5 不同情况下的计费结果测试
计费时间段

行驶里程

行驶时间

中途停车时间

计费价格
白天

2781m

61s

0

5.0元
白天

9532m

198s

0

26.0元
晚上

9682m

202s

0

33.0元
晚上

9529m

385s

182s

36.0元
4.2.4数据设定及断电储存功能
在待机状态下按“设置”键,分别修改起步价4km内8元,白天每公里5元,晚上每公里7元,修改系统时间,然后保存,关机,断开电源,等待5分钟之后重新开机,发现数据为修改后的数据,即数据的设定及断电储存功能有效。
4.3测试结果分析
通过调试和系统测试,发现系统能很好地实现预期的目的,实现了除语音功能外的其他所有功能,工作性能稳定,计费精确。系统时间实时运行,准确,里程的显示误差在1m以内。很好的完成了赛题的要求。
第五章:系统性能总结及特点
5.1 实现基本要求功能
表4 基本功能的实现情况
基本要求功能

功能实现情况
基本要求第(1)项

实现
基本要求第(2)项

实现
基本要求第(3)项

实现
基本要求第(4)项

实现
基本要求第(5)项

实现
5.2 实现发挥部分功能
表5 发挥功能的实现情况
发挥要求功能

功能实现情况
发挥要求第(1)项

实现
发挥要求第(2)项

实现
发挥要求第(3)项

未实现
5.3 增加的其他功能
u 与计费系统相关的所有参数用户均可修改并储存。
u 兼有万年历和数字电子钟功能。
u 一体化人机交互界面控制面板,硬件系装箱,提高机械强度,安全性能和美观度。
第六章:附录
附录一 系统程序源代码
/******************************************************************************/
// 华南理工大学自动控制大赛高级组A题:出租车计费系统
// 参赛队:Dragonfly
// 成员:翁新钎
// 曾 泽
// 罗桂波
// 文件名称:main.c
// 文件功能:提供包括主函数、中断服务、LCD显示及按键操作等功能函数,
// 实现对红外探测到的信号进行处理、显示功能和系统设置。
// 建立时间:2008.4.28
// 更新时间:2008.5.10
// 文件版本:V1.5
/******************************************************************************/
#include“test_.c“
#define SYSTEM_STATE_IDLE 0
#define SYSTEM_STATE_RUN 1
#define INT0 1
#define INT1 2
#define MAIN 4
/***** 按键定义 *****/
sbit Key1=P3^5;
sbit Key2=P3^6;
sbit Key3=P3^7;
sbit Key4=P3^0;
sbit Key5=P3^1;
/*** 全局变量 ***/
char code *day={“Sun“,“Mon“,“Tue“,“Wen“,“Thu“,“Fri“,“Sat“}; //显示星期几对应的字符串
int distance=0,min_distance=3000;
char min_price=50; //3000m,50角
char price=30; //角/km
int sum=0; //分
char speed; //(m/s)
int counter_1000=0;
int last_distance=0;
char max_stop_time=1; //minute
char price_per_minute=10; //角
char hh,mm,ss; //time
bit timer_state=0; //Free
int timer_count=0;
char system_state=SYSTEM_STATE_IDLE; //系统状态
char screen=MAIN; //把LCD显示屏的使用权分配给MAIN
bit real_time=0; //控制外部中断2是否要把系统时间和日期
//更新到LCD屏幕上
/* 函数声明 */
char getkey(); //等待一个按键按下,返回键码
void logo(); //开机logo
void init_prices(); //从的数据存储区读出数据
void update_distance(); //计价过程中负责更新屏幕上显示的路程
void LCD_write_int(int i); //向LCD写一个整数
void update_time(); //计价过程中负责更新屏幕上显示的行车时间
void update_speed(); //计价过程中负责更新屏幕上显示的速度
void update_sum(); //计价过程中负责更新屏幕上显示的总金额
void set(); //设置
void display_time(); //显示系统时间 hh:mm:ss
void display_date(); //显示日期 20xx-xx-xx
void idle_state_screen_init(); //进入空闲状态屏幕初始化
void run_screen_init(); //进入计费状态屏幕初始化
void set_time(); //设置系统时间
void set_start_price(); //设置起步价
void set_price(); //设置行车价格
void set_wait_price(); //设置中途停车的价钱
附录二 系统说明书
一、 人机交互界面
图9 人机交互界面
LCD显示屏
2 4
1 3 5
二、按键功能
2:开始计费,停止计费后用来复位。
4:停止计费。
1:进入设置界面。
3:设置过程中用来切换设置项,计费过程中查看系统时间和日期。
5:改变数字大小。
三、系统描述:
1、开机首先显示LOGO,如图10。按任意键继续。
图10 开机Logo
2、系统空闲,显示时间、日期和星期几。
图11 空闲时显示电子钟
3、按2键开始计费。或者按1键进入设置。
4、计费:界面显示总金额,路程,速度,行车时间。
图12 开始计费界面
按3查看系统时间,4秒钟后自动返回计费界面。
按1进入设置界面。
四、系统设置:
无论系统工作状态,按下1键进入设置界面。设置界面有4个。
a. 设置系统时间和日期。(SET-T)用3键移动闪烁的光标到要进行设置的位,按5键改变数值大小。按1转入下一个设置界面。
图13设置系统时间和日期
b. 设置起步价。(SET START PRICE)操作同a。
图14设置起步价
c. 设置价格。(SET PRICE)包括白天的价格和夜晚的价格。操作同a。
图15设置价格

六年级师生共123人租车去杭州湾跨海大桥参观可供选择车型和价格见右表请设计一个最省钱租车方案算出需要钱

解:如果坐大巴A,共需大巴车:123/50=2.46=3辆,3辆车共需的钱:1600*3=4800元
如果坐大巴B,则需大巴车:123/33=4辆,4辆车共需的钱:1200*4=4800元
如果坐中巴,共需中巴车:123/25=5辆,5辆车共需的钱:100*5=500元
所以坐中巴车最省钱。

租车贵吗我看到人家结婚租婚车,奔驰,宝马什么的、那些多少钱一天

各地不太一样,但是租婚车还是比较贵的,因为都要带着司机
一般奥迪A6就够了,一辆车一天600左右
要是头车那就贵了,好的奔驰宝马都要2000-4000

重庆出租车收费标准

重庆出租车每公里白天时段(06点-22点)价格起步5元,单价1.2再加%50反空费=每公里1.8元,3公里后每0.5公里跳字0.9元,等时跳表0.6元。


运行效率

根据中国交通运输协会的数据显示,2019年传统出租车的空驶率在45%左右,而网约车的空驶率为20%~30%。

以成都为例,乘客打出租车的平均等车时间为12.3分钟,而通过网约车叫车平均只需5.6分钟,相当于网约车每天为成都市民节省了12.6万小时的等车时间。

EDA课程设计,用VHDL编程做出租车计费器

课程设计内容与要求
1,用开关按键表示脉冲,每个脉冲代表100米,10个脉冲1公里,每公里1.4元,能同步显示里程和费用;
2,低于2公里5元计费,高于2公里总费用=起步费用+(里程-2公里)*里程单价+
等候时间*等后单价;
3,等候时间大于2分钟,按每分钟1.3元计费;
4,可以设定起步价和里程单价。
一、设计原理与技术方法:
包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;
软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。
根据设计要求,系统的输入信号clk,计价开始信号start,等待信号stop,里程脉冲信号fin。系统的输出信号有:总费用数C0—c3,行驶距离k0—k1,等待时间m0—m1等。系统有两个脉冲输入信号clk_750k,fin,其中clk_750k将根据设计要求分频成14hz,15hz和1hz分别作为公里计费和超时计费的脉冲。两个控制输入开关start,stop;控制过程为:start作为计费开始的开关,当start为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin脉冲到来,进行行驶计费,此时的stop需要置为0;如需停车等待,就把stop变为高电平,
并去除fin输入脉冲,进行等待计费;当乘客下车且不等待时,直接将start置为0,系统停止工作;价格开始归为起步价5.0元。
整个设计由分频模块,计量模块,计费模块,控制模块和显示模块五个部分组成。
其中计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分,根据所设计的使能端选择是根据里程计费还是根据等待时间计费,同时设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1hz的驱动信号,计费模块采用14hz,13hz的驱动信号;计量模块每计数一次,计量模块就实现14次或者13次计数,即为实现计时的1.3元/min,计程时的1.4元/km的收费。组成框图如下所示:
1.百进制模块:
实现百米脉冲的驱动信号,元件框图如图3所示:
图3 百进制模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity baijinzhi is
port(start,clk2: in std_logic; --秒脉冲
a: out std_logic_vector(3 downto 0));
end baijinzhi;
architecture rt1 of baijinzhi is
signal count_1:std_logic_vector(3 downto 0);
begin
a《=count_1;
process(start,clk2)
begin
if(start=’0’)then
count_1《=“0000“;
elsif(clk2’event and clk2=’1’)then
if(count_1=“0111“)then
count_1《=“0000“;
else
count_1《=count_1+’1’;
end if;
end if;
end process;
end rt1
2.计费模块
; 实现里程和等候时间的计费并输出到显示,元件框图4如下:
图4 计费模块框图
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --计费驱动信号
start:in std_logic; --计费开始信号
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt1 of jifei is
begin
process(clk2,start)
begin
if start=’0’then c3《=“0000“;c2《=“0000“;c1《=“0101“;c0《=“0000“; --起步价5元
elsif clk2’event and clk2=’1’then
if c0=“1001“ then c0《=“0000“;
if c1=“1001“ then c1《=“0000“;
if c2=“1001“ then c2《=“0000“;
if c3=“1001“ then c3《=“0000“;
else c3《=c3+1;
end if;
else c2《=c2+1;
end if;
else c1《=c1+1;
end if;
else c0《=c0+1;
end if;
end if;
end process;
end rt1;
3.公里模块
实现历程的计数和输出计费脉冲,元件框图5如下:
图5 公里模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity gongli is
port(clk1,start: in std_logic; --百米脉冲
k1,k2,k3,k4: out std_logic_vector(3 downto 0); --里程显示
temp2 : out std_logic);
end gongli;

architecture rt1 of gongli is
signal count_1: std_logic_vector(3 downto 0);
signal count_2: std_logic_vector(3 downto 0);
signal count_3: std_logic_vector(3 downto 0);
signal count_4: std_logic_vector(3 downto 0);
begin
k1《=count_1;
k2《=count_2;
k3《=count_3;
k4《=count_4;
process(start,clk1)
begin
if(start=’0’)then
count_1《=“0000“;
count_2《=“0000“;
count_3《=“0000“;
count_4《=“0000“; ---公里清零
elsif(clk1’event and clk1=’1’)then
if(count_1=“1001“)then --公里计数器
count_1《=“0000“;count_2《=count_2+1;temp2《=’1’;
if(count_2=“1001“)then
count_2《=“0000“;count_3《=count_3+’1’;
if(count_3=“1001“)then
count_3《=“0000“;count_4《=count_4+’1’;
end if;
end if;
else
count_1《=count_1+’1’;temp2《=’0’;
end if;
end if;
end process;
end rt1;
4.输出模块
实现所有数据的输出,元件框图6如下:
图6 输出模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shuchu is
port(y: in std_logic_vector(3 downto 0);
e: out std_logic_vector(6 downto 0));
end shuchu;

architecture rt1of shuchu is
begin
process
begin
case y is
when“0000“=》e《=“0111111“;
when“0001“=》e《=“0000110“;
when“0010“=》e《=“1011011“;
when“0011“=》e《=“1001111“;
when“0100“=》e《=“1100110“;
when“0101“=》e《=“1101101“;
when“0110“=》e《=“1111101“;
when“0111“=》e《=“0000111“;
when“1000“=》e《=“1111111“;
when“1001“=》e《=“1100111“;
when others=》e《=“0000000“;
end case;
end process;
end rt1;
5.显示模块
实现所有数据的显示,元件框图7如下:
图7 显示模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xianshi is
port(start: in std_logic;
a:in std_logic_vector(3 downto 0); --选择信号
c1,c2,c3,c4,out1,out2,out3,out4:in std_logic_vector(3 downto 0); --里程显示,时间显示输入
y:out std_logic_vector(3 downto 0)); --里程显示,时间显示输出
end xianshi;
architecture rt1 of xianshi is
begin
process
begin
if(start=’0’)then
y《=“0000“;
else case a is
when “0000“=》 y《=c1 ;
when “0001“=》 y《=c2 ;
when “0010“=》 y《=c3 ;
when “0011“=》 y《=c4 ;
when “0100“=》 y《=out1 ;
when “0101“=》 y《=out2;
when “0110“=》 y《=out3 ;
when “0111“=》 y《=out4;
when others =》y《= “0000“;
end case;
end if;
end process;
end rt1;
6.dian模块
图8 dian模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dian is
port(a: in std_logic_vector(3 downto 0);
e: out std_logic);
end dian;
architecture rt1 of dian is
begin
process
begin
case a is
when “0001“=》e《=’1’;
when “0101“=》e《=’1’;
when others=》e《=’0’;
end case;
end process;
end rt1;
三、中各个模块设计分析
系统总体顶层框图如下:
系统总体顶层框图
程序最终功能实现波形仿真
1. 分频模块
由于实验箱上没有14hz和13hz的整数倍时钟信号,因此采用频率较大的750khz进行分频,以近似得到14hz,13hz和1hz的时钟频率。通过以上三种不同频率的脉冲信号实行出租车行驶,等待两种情况下的不同计费。模块元件如下:

分频模块框图
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity fenpin is
port(clk_750k:in std_logic; --系统时钟
clk_14:buffer std_logic; --14分频
clk_13:buffer std_logic; --13分频
clk_1 : buffer std_logic); --1分频
end fenpin ;
architecture rt1 of fenpin is
signal q_14:integer range 0 to 53570; --定义中间信号量
signal q_13:integer range 0 to 57691;
signal q_1:integer range 0 to 749999;
begin
process(clk_750k)
begin
If(clk_750k’ event and clk_750k=’1’)then
If q_14=53570 then q_14《=0;clk_14《=not clk_14;
else q_14《=q_14+1;
end if; --得14hz频率信号
If q_13=57691 then q_13《=0;clk_13《=not clk_13;
else q_13《=q_13+1;
end if; --得13hz频率信号
If q_1=749999 then q_1《=0;clk_1《=not clk_1;
else q_1《=q_1+1;
end if; --得1hz频率信号
end if;
end process;
end rt1;
2. 计量模块
计量模块主要完成计时和计程功能。
计时部分:计算乘客的等待累积时间,当等待时间大于2min时,本模块中en1使能信号变为1;当clk1每来一个上升沿,计时器就自增1,计时器的量程为59min,满量程后自动归零。
计程部分:计算乘客所行驶的公里数,当行驶里程大于2km时,本模块中en0使能信号变为1;当clk每来一个上升沿,计程器就自增1,计程器的量程为99km,满量程后自动归零。
元件框图为:
计量模块框图
计量模块仿真波形为:
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jiliang is
port(start:in std_logic; --计费开始信号
fin:in std_logic; --里程脉冲信号
stop:in std_logic; --行驶中途等待信号
clk1:in std_logic; --驱动脉冲
en1,en0:buffer std_logic; --计费单价使能信号
k1,k0:buffer std_logic_vector(3 downto 0); --行驶公里计数
m1,m0:buffer std_logic_vector(3 downto 0)); --等待时间计数
end jiliang;
architecture rt2 of jiliang is
signal w:integer range 0 to 59; --计时范围0~59
begin
process(clk1)
begin
if(clk1’event and clk1=’1’)then
if start=’0’ then
w《=0;en1《=’0’;en0《=’0’;m1《=“0000“;
m0《=“0000“;k1《=“0000“;k0《=“0000“;
elsif stop=’1’ then --计时开始信号
if w=59 then
w《=0;
else w《=w+1;
end if;
if m0=“1001“ then
m0《=“0000“;
if m1=“0101“ then
m1《=“0000“;
else m1《=m1+1;
end if;
else m0《=m0+1;
end if;
if stop=’1’ then en0《=’0’;
if m1&m0》“00000001“ then en1《=’1’; --若等待时间大于2min则en1置1
else en1《=’0’;
end if;
end if;
elsif fin=’1’ then --里程计数开始
if k0=“1001“ then k0《=“0000“;
if k1=“1001“ then k1《=“0000“; --计程范围0~99
else k1《=k1+1;
end if;
else k0《=k0+1;
end if;
if stop=’0’ then
en1《=’0’;
if k1&k0》“00000001“ then
en0《=’1’; --若行使里程大于2km,则en0置1
else en0《=’0’;
end if;
end if;
end if;
end if;
end process;
end rt2;
3. 控制模块
本模块主要是通过计量模块产生的两个不同的输入使能信号en0,en1,对每个分频模块输出的14hz,13hz的脉冲进行选择输出的过程;本模块实现了双脉冲的二选一;最终目的为了计费模块中对行驶过程中不同的时段进行计价。
模块元件如下:
控制模块框图
控制模块仿真波形为:

源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity kongzhi is
port(en0,en1:in std_logic; --使能选择信号
clk_in1:in std_logic; --14分频输入信号
clk_in2:in std_logic; --13分频输入信号
clk_out:out std_logic); --输出信号
end kongzhi;
architecture rt3 of kongzhi is
begin
process(en0,en1)
begin
if en0=’1’ then --实现二选一功能
clk_out《=clk_in1;
elsif en1=’1’ then
clk_out《=clk_in2;
end if;
end process;
end rt3;
4.计费模块
当计费信号start一直处于高电平即计费状态时,本模块根据控制模块选择出的信号从而对不同的单价时段进行计费。即行程在2km内,而且等待累计时间小于2min则为起步价5元;2km外以每公里1.4.元计费,等待累积时间超过2min则按每分钟1.3元计费。c0,c1,c2,c3分别表示费用的显示。
模块元件为:
计费模块框图
计费模块仿真波形为:
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --计费驱动信号
start:in std_logic; --计费开始信号
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt4 of jifei is
begin
process(clk2,start)
begin
if start=’0’then c3《=“0000“;c2《=“0000“;c1《=“0101“;c0《=“0000“; --起步价5元
elsif clk2’event and clk2=’1’then
if c0=“1001“ then c0《=“0000“;
if c1=“1001“ then c1《=“0000“;
if c2=“1001“ then c2《=“0000“;
if c3=“1001“ then c3《=“0000“; --计价范围0~999.9
else c3《=c3+1;
end if;
else c2《=c2+1;
end if;
else c1《=c1+1;
end if;
else c0《=c0+1;
end if;
end if;
end process;
end rt4;
5.显示模块
显示模块完成计价,计时和计程数据显示。计费数据送入显示模块进行译码,最后送至以百元,十元,元,角为单位对应的数码管上显示。计时数据送入显示模块进行译码,最后送至以分为单位对应的数码管上显示。计程数据送入显示模块进行译码,最后送至以km为单位的数码管上显示。
模块元件为:

显示模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; --定义库包

entity xianshi is --定义实体
port(
clk_scan:in std_logic; --扫描时钟信号端口设置
c3,c2,c1,c0:in std_logic_vector(3 downto 0); --总费用输入端口
k0,k1:in std_logic_vector(3 downto 0); --里程输入端口
m0,m1:in std_logic_vector(3 downto 0); --等待时间输入端口
sel:out std_logic_vector(2 downto 0); --控制数码管位选信号的扫描信号输出端口
led:out std_logic_vector(6 downto 0); --数码管的控制端口
led_dp:out std_logic --数码管的小数点输出端口
);
end xianshi;
architecture rt5 of xianshi is
signal duan:std_logic_vector(6 downto 0); --数码显示管中间变量
signal shuju:std_logic_vector(3 downto 0); --选择输入端的中间变量
signal cnt:std_logic_vector(2 downto 0); --控制数码管的中间变量
signal xiaodian:std_logic; --小数点的中间变量
begin
process(clk_scan) --开始进程
begin
if clk_scan’event and clk_scan=’1’ then
cnt《=cnt+1; --每有一个扫描信号上升沿实现加1扫描
end if;
end process; --结束进程

process(cnt) --开始进程(选择扫描显示数码管)
begin
case cnt is --扫描时给每个数码管赋值
when “000“=》shuju《=c0;
when “001“=》shuju《=c1;
when “010“=》shuju《=c2;
when “011“=》shuju《=c3;
when “100“=》shuju《=k0;
when “101“=》shuju《=k1;
when “110“=》shuju《=m0;
when “111“=》shuju《=m1;
when others=》 null;
end case;
if (cnt=“001“ or cnt=“110“)
then xiaodian《=’1’; --在里程和总费用的个位处显示小数点
else xiaodian《=’0’;
end if;
end process; --结束进程

process(shuju) --开始进程(译码显示)
begin
case shuju is
when “0000“=》duan《=“0111111“; --0
when “0001“=》duan《=“0000110“; --1
when “0010“=》duan《=“1011011“; --2
when “0011“=》duan《=“1001111“; --3
when “0100“=》duan《=“1100110“; --4
when “0101“=》duan《=“1101101“; --5
when “0110“=》duan《=“1111101“; --6
when “0111“=》duan《=“0000111“; --7
when “1000“=》duan《=“1111111“; --8
when “1001“=》duan《=“1101111“; --9
when others=》null;
end case;
end process;
sel《=cnt;
led《=duan;
led_dp《=xiaodian;
end rt5;
二、课程设计工作记录:
包括:设计步骤与时间安排、调试步骤与时间安排、课题完成结果说明
2.课题完成结果说明:
此计费器能实现起步价是5元;实现实验要求的1公里计费一次单价,行驶公里大于2km时每公里按1.4元计费并能显示里程和总共的费用。当行驶了6公里,等待了4分钟时,费用显示为15.8元。与计算公式总费用=起步费用+(里程-2公里)*里程单价+等候时间*等后单价;即15.8=5+(6-2)*1.4+4*1.3。实验结果与理论结果完全一致,实验设计成功。

婚礼上使用的奥迪婚车怎么样,奥迪婚车租赁价格是多少

奥迪婚车租赁价格是指的婚礼上使用的奥迪婚车的租赁费用。婚车可以说是整场婚礼的焦点之一,婚车的档次其实也是代表着婚礼的档次,好的婚车可以让众人感觉到气派,从而可以让婚礼给自己留下难忘的回忆。那么奥迪品牌的婚车的租赁费用如何呢?

每对结婚的年轻人都是希望自己的婚礼是非常的盛大的,毕竟人生中很可能只有一次,因此一定要每一个细节都做到完美。婚车是婚礼档次的重要体验,因而婚车队伍一定要是有档次的,而婚车的品牌可以选择的众多,其中奥迪品牌属于高档汽车品牌,因此深受欢迎。下面就来说一说奥迪婚车租赁价格。 

一:奥迪A4L,奥迪A4L这款汽车的外观是非常的大气的,而大气中又透露出来一种时尚的动感,整体的线条是很流畅的,这令汽车的速度非常的稳快。汽车的内饰的话是非常的简单大方的,即便是不添加任何其他的装饰物也让车内空间非常的有派。再有汽车内的车间空间足够五个人舒服的乘坐。参考租赁价格的话在500元左右每辆车。

二:奥迪A6L,奥迪A6L是一款商务车,这款商务车与众不同的地方就在于它的外观设计更加的简单却不失沉稳,在时尚感方面也要比其它的商务车好很多。车的内部空间是非常大的,现代感十足,人坐在里面是非常的舒适的。这款车的参考租赁价格在400到800元左右每辆车。

三:奥迪A8L,奥迪A8L是奥迪推出来的比较新的车款,这款汽车的设计是非常的灵动的,而且个性感十足,不仅仅是时尚大气,最主要的是很霸气。此款车的内部空间是设计的非常的豪华的,而且内饰也都是精细的,娱乐功能更多。这款车的参考租赁价格在1000元每辆。

实际上不同的城市不同的租赁公司的奥迪婚车租赁价格都是不同的,租赁公司给出来的奥迪车的租赁价格都是根据车辆的车款以及性能等等方面来定的,具体的哪一个租赁公司更加的划算还是要车主认真的比较性价比来定。

出租车计价器程序设计与原理图

用单片机好做,但如果用模拟电路和数字电路来搭建这样复杂的功能,恐怕做出来要比现有的成本计价器大几十倍,而且耗电巨大,成本更高,何必要这样做呢?只因为没有学到就要这样不计成本的浪费吗?
如果是急求的话,可以花钱招一个懂单片机的人来搞,没有人能提供不用单片机的电路的,太复杂了,不可能实现。
首先要看里程传感器的输出是模拟的还是数字的。
无论何种里程传感器,
可以用数字积分器,简单些;也可以用模拟积分器,这样设计和调试的难度就很大。

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注